From 9eb9a2dc9fac1d58ac3c19f11d83cdd46dda1afe Mon Sep 17 00:00:00 2001 From: katherine Date: Fri, 20 Dec 2019 23:00:25 -0700 Subject: add ultisnips --- .vim/UltiSnips/elixir.snippets | 7 +++++++ 1 file changed, 7 insertions(+) create mode 100644 .vim/UltiSnips/elixir.snippets (limited to '.vim/UltiSnips/elixir.snippets') diff --git a/.vim/UltiSnips/elixir.snippets b/.vim/UltiSnips/elixir.snippets new file mode 100644 index 0000000..6c90508 --- /dev/null +++ b/.vim/UltiSnips/elixir.snippets @@ -0,0 +1,7 @@ +priority -50 + +snippet do "do ... end" +do + ${VISUAL}$0 +end +endsnippet -- cgit v1.2.3