From 1a812d5776b6259d42265891a9b349898ea8df67 Mon Sep 17 00:00:00 2001 From: katherine Date: Sat, 2 Apr 2016 00:49:38 -0700 Subject: typo --- .vimrc | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to '.vimrc') diff --git a/.vimrc b/.vimrc index 8d62cfe..940a81b 100644 --- a/.vimrc +++ b/.vimrc @@ -111,7 +111,7 @@ filetype plugin indent on set autoindent if !has('gui_running') - set t_Co=256 + set t_Co=256 endif colorscheme shmibs -- cgit v1.2.3